Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Проектування керуючого пристрою

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
КН
Кафедра:
Не вказано

Інформація про роботу

Рік:
2012
Тип роботи:
Лабораторна робота
Предмет:
Автоматизація проектування комп’ютерних систем

Частина тексту файла

Міністерство освіти і науки України Національний університет ”Львівська політехніка” Лабораторна робота №4 з дисципліни «Автоматизація проектування комп'ютерних систем» " Проектування керуючого пристрою " Львів-2012 Мета роботи: навчитись створювати, модифікувати, компілювати та моделювати роботу керуючого пристрою мікропроцесора засобами пакету Aldec Active-HDL. Хід роботи: Для кодування інструкцій процесора доцільно використати мнемонічні позначення. Константи-мнемоніки додати до package-файлу, створеного в лаб. роботі 4:  вкіааава Створити нову діаграму станів: File- New – State Diagram clock: IN std_logic; reset: IN std_logic; MEM_read: OUT std_logic; inc_pc:OUT std_logic; ld_ir: OUT std_logic;  Перейменувати Змінну стану Sreg0 в State. Використовуючи палітру інструментів FSM Toolbar або меню FSM, додати до діаграми станів: Стани (State ) I_F та E_X; Переходи (Transitions ) між станами I_F->E_X та навпаки Індикатор початкового Стану (Reset/Initial State Indicator, ) з переходом до стану стану I_F, за умовою (condition,  ) Reset ="1" (рис. 4).       Висновок: Під час виконання лабораторної роботи я навчився створювати, модифікувати, компілювати та проводити симуляцію роботи арифметико-логічного пристрою мікропроцесора.
Антиботан аватар за замовчуванням

17.02.2013 23:02

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини